1
0
mirror of https://github.com/github/gitignore synced 2024-06-08 13:47:58 +02:00

Merge pull request #1484 from andres-mancera/SynopsysVCS_patch

Adding a few more files/file extensions/directories for Synopsys VCS.
This commit is contained in:
Carl Suster 2015-06-02 11:26:13 +10:00
commit a1ed257134

View File

@ -1,23 +1,36 @@
# Waveforms # Waveform formats
*.vpd
*.vcd *.vcd
*.vpd
*.evcd *.evcd
*.fsdb
# Binary files # Default name of the simulation executable. A different name can be
# specified with this switch (the associated daidir database name is
# also taken from here): -o <path>/<filename>
simv simv
# Directories used for compilation # Generated for Verilog and VHDL top configs
csrc/
simv.daidir/ simv.daidir/
simv.db.dir/
# Log files # Infrastructure necessary to co-simulate SystemC models with
# Verilog/VHDL models. An alternate directory may be specified with this
# switch: -Mdir=<directory_path>
csrc/
# Log file - the following switch allows to specify the file that will be
# used to write all messages from simulation: -l <filename>
*.log *.log
# DVE, UCLI related files # Coverage results (generated with urg) and database location. The
DVEfiles/ # following switch can also be used: urg -dir <coverage_directory>.vdb
ucli*
*.key
# Coverage related files
simv.vdb/ simv.vdb/
urgReport/ urgReport/
# DVE and UCLI related files.
DVEfiles/
ucli.key
# When the design is elaborated for DirectC, the following file is created
# with declarations for C/C++ functions.
vc_hdrs.h