From 294b5c903b0f8f1471975c8dcb94bc449baed211 Mon Sep 17 00:00:00 2001 From: Steffen Jaeckel Date: Wed, 2 Mar 2011 19:52:22 +0100 Subject: [PATCH 1/6] initial version of Quartus2.gitignore --- Global/Quartus2.gitignore | 65 +++++++++++++++++++++++++++++++++++++++ 1 file changed, 65 insertions(+) create mode 100644 Global/Quartus2.gitignore diff --git a/Global/Quartus2.gitignore b/Global/Quartus2.gitignore new file mode 100644 index 00000000..911d300f --- /dev/null +++ b/Global/Quartus2.gitignore @@ -0,0 +1,65 @@ +# Working with Altera Quartus II (Q2) and do proper versioning is not that easy +# but if you follow some rules it can be accomplished. :) +# This file should be placed into the main directory where the .qpf file is +# found. Generally Q2 throws all entities and so on in the main directory, but +# you can place all stuff also in separate folders. This approach is followed +# here. So when you create a new design create one or more folders where your +# entities will be located and put a .gitignore in there that overrides the +# ignores of this file, e.g. one single rule stating "!*" which allows now all +# type of files. When you add a MegaFunction or another entity to your design, +# simply add it to one of your private folders and Q2 will be happy and manage +# everything quite good. When you want to do versioning of your generated +# SOF/POF files, you can do this by redirecting the generated output to an own +# folder. To do this go to: +# "Assignments" +# -> "Settings +# -> "Compilation Process Settings" +# -> "Save project output files in specified directory" +# Now you can either place a .gitignore in the directory and allow the following +# list of types: +# !*.sof +# !*.pof +# or you create an own submodule in the folder to keep binary files out of your +# design. + +# ignore hdl source files in top level directory +*.vhd +*.v + +# ignore Quartus II generated files +*sopc[_i]* +*.jdi +*.ptf.* +*.sof +*.pof +*.qws +*.smsg +*_inst.vhd +*_generation_script* +*.done +*.txt +*.qarlog +*.rpt +*.summary +*.qws +*.cmp +*.eqn +*.html +*.jpg +*.bak +*.qar +*.sopc_builder +*example* +*~ +*.sdc +*.tcl +*.pin + +# ignore Quartus II generated folders +db/ +incremental_db/ +simulation/ +timing/ +testbench/ +*_sim/ + From d6e6fa9a27622e80d6ba630038e2ab5c2ee63620 Mon Sep 17 00:00:00 2001 From: Steffen Jaeckel Date: Wed, 2 Mar 2011 19:57:14 +0100 Subject: [PATCH 2/6] added memory initialization files to be ignored --- Global/Quartus2.gitignore | 1 + 1 file changed, 1 insertion(+) diff --git a/Global/Quartus2.gitignore b/Global/Quartus2.gitignore index 911d300f..59a83b13 100644 --- a/Global/Quartus2.gitignore +++ b/Global/Quartus2.gitignore @@ -54,6 +54,7 @@ *.sdc *.tcl *.pin +*.mif # ignore Quartus II generated folders db/ From 806e228b18e9c103f1151245b89a9da47d93cf5c Mon Sep 17 00:00:00 2001 From: Steffen Jaeckel Date: Thu, 3 Mar 2011 11:05:23 +0100 Subject: [PATCH 3/6] remove .sopcinfo file from the gitignore list --- Global/Quartus2.gitignore | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/Global/Quartus2.gitignore b/Global/Quartus2.gitignore index 59a83b13..9b8c40b7 100644 --- a/Global/Quartus2.gitignore +++ b/Global/Quartus2.gitignore @@ -27,7 +27,7 @@ *.v # ignore Quartus II generated files -*sopc[_i]* +*sopc_* *.jdi *.ptf.* *.sof @@ -57,10 +57,10 @@ *.mif # ignore Quartus II generated folders -db/ +db/ incremental_db/ -simulation/ -timing/ -testbench/ +simulation/ +timing/ +testbench/ *_sim/ From 1145ed5fc5c7221d7c789b73d127474967fe6fc9 Mon Sep 17 00:00:00 2001 From: Steffen Jaeckel Date: Thu, 3 Mar 2011 11:07:48 +0100 Subject: [PATCH 4/6] convert to unix line endings --- Global/Quartus2.gitignore | 132 +++++++++++++++++++------------------- 1 file changed, 66 insertions(+), 66 deletions(-) diff --git a/Global/Quartus2.gitignore b/Global/Quartus2.gitignore index 9b8c40b7..f51600e9 100644 --- a/Global/Quartus2.gitignore +++ b/Global/Quartus2.gitignore @@ -1,66 +1,66 @@ -# Working with Altera Quartus II (Q2) and do proper versioning is not that easy -# but if you follow some rules it can be accomplished. :) -# This file should be placed into the main directory where the .qpf file is -# found. Generally Q2 throws all entities and so on in the main directory, but -# you can place all stuff also in separate folders. This approach is followed -# here. So when you create a new design create one or more folders where your -# entities will be located and put a .gitignore in there that overrides the -# ignores of this file, e.g. one single rule stating "!*" which allows now all -# type of files. When you add a MegaFunction or another entity to your design, -# simply add it to one of your private folders and Q2 will be happy and manage -# everything quite good. When you want to do versioning of your generated -# SOF/POF files, you can do this by redirecting the generated output to an own -# folder. To do this go to: -# "Assignments" -# -> "Settings -# -> "Compilation Process Settings" -# -> "Save project output files in specified directory" -# Now you can either place a .gitignore in the directory and allow the following -# list of types: -# !*.sof -# !*.pof -# or you create an own submodule in the folder to keep binary files out of your -# design. - -# ignore hdl source files in top level directory -*.vhd -*.v - -# ignore Quartus II generated files -*sopc_* -*.jdi -*.ptf.* -*.sof -*.pof -*.qws -*.smsg -*_inst.vhd -*_generation_script* -*.done -*.txt -*.qarlog -*.rpt -*.summary -*.qws -*.cmp -*.eqn -*.html -*.jpg -*.bak -*.qar -*.sopc_builder -*example* -*~ -*.sdc -*.tcl -*.pin -*.mif - -# ignore Quartus II generated folders -db/ -incremental_db/ -simulation/ -timing/ -testbench/ -*_sim/ - +# Working with Altera Quartus II (Q2) and do proper versioning is not that easy +# but if you follow some rules it can be accomplished. :) +# This file should be placed into the main directory where the .qpf file is +# found. Generally Q2 throws all entities and so on in the main directory, but +# you can place all stuff also in separate folders. This approach is followed +# here. So when you create a new design create one or more folders where your +# entities will be located and put a .gitignore in there that overrides the +# ignores of this file, e.g. one single rule stating "!*" which allows now all +# type of files. When you add a MegaFunction or another entity to your design, +# simply add it to one of your private folders and Q2 will be happy and manage +# everything quite good. When you want to do versioning of your generated +# SOF/POF files, you can do this by redirecting the generated output to an own +# folder. To do this go to: +# "Assignments" +# -> "Settings +# -> "Compilation Process Settings" +# -> "Save project output files in specified directory" +# Now you can either place a .gitignore in the directory and allow the following +# list of types: +# !*.sof +# !*.pof +# or you create an own submodule in the folder to keep binary files out of your +# design. + +# ignore hdl source files in top level directory +*.vhd +*.v + +# ignore Quartus II generated files +*sopc_* +*.jdi +*.ptf.* +*.sof +*.pof +*.qws +*.smsg +*_inst.vhd +*_generation_script* +*.done +*.txt +*.qarlog +*.rpt +*.summary +*.qws +*.cmp +*.eqn +*.html +*.jpg +*.bak +*.qar +*.sopc_builder +*example* +*~ +*.sdc +*.tcl +*.pin +*.mif + +# ignore Quartus II generated folders +db/ +incremental_db/ +simulation/ +timing/ +testbench/ +*_sim/ + From 38ccc7d78cb9e2983fa1d99d6a48fdb3e274564c Mon Sep 17 00:00:00 2001 From: Steffen Jaeckel Date: Mon, 14 Mar 2011 11:32:48 +0100 Subject: [PATCH 5/6] added hex files to be ignored --- Global/Quartus2.gitignore | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Global/Quartus2.gitignore b/Global/Quartus2.gitignore index f51600e9..d9cd340d 100644 --- a/Global/Quartus2.gitignore +++ b/Global/Quartus2.gitignore @@ -55,6 +55,7 @@ *.tcl *.pin *.mif +*.hex # ignore Quartus II generated folders db/ @@ -63,4 +64,3 @@ simulation/ timing/ testbench/ *_sim/ - From 5eeeeef2fd6b208864322b367d814f3400ab4412 Mon Sep 17 00:00:00 2001 From: Steffen Jaeckel Date: Mon, 14 Mar 2011 16:41:28 +0100 Subject: [PATCH 6/6] added initial version of ModelSim.gitignore --- Global/ModelSim.gitignore | 23 +++++++++++++++++++++++ 1 file changed, 23 insertions(+) create mode 100644 Global/ModelSim.gitignore diff --git a/Global/ModelSim.gitignore b/Global/ModelSim.gitignore new file mode 100644 index 00000000..46592b86 --- /dev/null +++ b/Global/ModelSim.gitignore @@ -0,0 +1,23 @@ +# ignore ModelSim generated files and directories (temp files and so on) +[_@]* + +# ignore compilation output of ModelSim +*.mti +*.dat +*.dbs +*.psm +*.bak +*.cmp +*.jpg +*.html +*.bsf + +# ignore simulation output of ModelSim +wlf* +*.wlf +*.vstf +*.ucdb +cov*/ +transcript* +sc_dpiheader.h +vsim.dbg